[[Altera関係]]

#contents

*起動方法 [#s5a4d7bc]
 source /opt/altera/quartus.sh
 quartus &


*インストールとライセンス [#yc1a54eb]

**Quartus II 12.1 [#g96d3494]
http://www.altera.co.jp/download/licensing/setup/lic-setup-float-unix.html~

*** Nodelock うまくいった [#d23a7f77]
2013-04-02 以下の設定でNetwork Install する~
https://www.altera.com/download/software/quartus-ii-se/12.1/ja~
#ref(altera12.1NetInstall.png)

***Floating License うまくいかなかった [#fce0f91b]
ライセンスを以下の設定で発行してもらった~
See. alteraFloatingLicense.png, alteraFloatingLicense1.png, alteraFloatingLicense2.png, alteraFloatingLicense3.png, 

2013-02-17 もらったライセンスの中身がどうもおかしいぞ~
2013-03-08 いろいろやったけど、うまくいかないのでテクニカルサポートにメール~
2013-03-24 castorが死んだし、floatingやめる~

**Quartus II 12.0 [#rcb02380]
2013-04-02 Nodelockでzeusに入れる~
https://www.altera.com/download/software/quartus-ii-se/12.0/ja
-12.0_quartus_linux.tar.gz
-12.0_devices_cyclone_max_legacy_linux.tar.gz
-12.0_devices_stratix_hardcopy_linux.tar.gz

塙先生からもらったプロジェクトが12.1だったので、やりなおし

** Quartus II 12.1 64bit [#pea300dc]
Quartus II 12.1 32bitではstratix iv用のプログラムをコンパイル出来ない~
一方でlinux64ディレクトリ下のQuartus II 12.1 64bit を起動すると~
libsys_ictq.soが読み込めないと怒られる~
どうみてもlibsys_ictq.soはlinux64ディレクトリ下にある.~
~
http://everythingshakesme.blogspot.jp/2012/01/sh-cant-open-script.html
- ld.so.confにライブラリの場所を追記するとよいらしい.
- http://www.atmarkit.co.jp/flinux/rensai/linuxtips/300sharelib.html

 vim /etc/ld.so.conf
 ldconfig

トップ   新規 一覧 検索 最終更新   ヘルプ   最終更新のRSS